Companies

IMEC

IMEC Articles

Displaying 61 - 80 of 393
Wearables
8th January 2019
Health patch with long battery life to measure vital signs

At the Consumer Electronics Show (CES 2019), in Las Vegas, imec and TNO are presenting the latest version of their health patch. Developed in the framework of the Holst Centre in Eindhoven, the new health patch offers comfort and a long battery life. It can also be manufactured at a fraction of the cost of previous generations, making it a user-friendly, disposable solution for ambulant patient monitoring.

Analysis
18th December 2018
Europe secures Europractice services to academia and industry

The and innovation hub in nanoelectronics and digital technologies, Imec, and its partners STFC-UKRI, Fraunhofer IIS, CMP and Tyndall, have announced that Europractice was selected and granted European funding as a H2020 project. This new funding secures the Europractice services to European universities, research institutes and industry until the end of 2021. 

Passives
11th December 2018
Stacked nanowire gate-all-around transistors for N3 and beyond

At this week’s 2018 IEEE International Electron Devices Meeting (IEDM), imec, the research and innovation hub in nano-electronics and digital technology, reported significant progress in process enabling the introduction of gate-all-around (GAA) transistors with vertically stacked nanowires and nanosheets for the N3 technology node.

Passives
11th December 2018
Stack FinFETS with 45nm fin pitch using sequential 3D integration

At the IEEE IEDM 2018 conference, imec, the research and innovation hub in nanoelectronics and digital technologies, presented a demonstration of 3D stacked FinFETs on 300mm wafers using a sequential integration approach with a 45nm fin pitch and 110nm poly pitch technology. 

Memory
11th December 2018
SST-MRAM as a last-level cache at the five nanometre technology node

At the 2018 IEEE International Electron Devices Meeting (IEDM), imec, the research and innovation hub in nanoelectronics and digital technologies, presented a power-performance-area comparison between SRAM- and SST-MRAM-based last-level caches at the five nanometre node. 

Power
10th December 2018
Two breakthroughs for spintronic logic devices

At the 2018 IEEE International Electron Devices Meeting (IEDM), imec, and its partners presented an experimental demonstration of full majority gate operation based on spin-wave interference in scaled devices. Circuit-level benchmarking recommends majority gates based on spin-waves for ultralow power applications. 

Artificial Intelligence
27th November 2018
Partnership joins forces on AI and quantum computing

During the state visit of His Excellency Emmanuel Macron President of the French Republic, the Belgian research centre imec and the French research institute CEA-Leti, two research and innovation hubs in nanotechnologies for industry, announced that they have signed a memorandum of understanding (MoU) that lays the foundation of a strategic partnership in the domains of Artificial Intelligence and quantum computing.

Optoelectronics
20th November 2018
Electronics in hydrogel-based soft lenses demonstrated

In Japan at the imec technology forum (ITF Japan 2018), imec, the research and innovation, the Ghent University (UGent), and contact lens manufacturer SEED, announced that they have developed a contact lens with an integrated LED light, including an ultra-thin silicon microchip, radio‐frequency (RF) antenna for wireless energy transfer, and stretchable thin‐film interconnections. 

Events News
5th November 2018
UV sensing capabilities showcased at Vision 2018

  This week at Vision 2018 (November 6th to 8th, 2018), imec, the research and innovation hub in nanoelectronics and digital technologies, will present a high-speed UV-sensitive time-delay-integration (TDI) imager that is based on charge-coupled-device (CCD)-in-CMOS technology. 

Renewables
3rd November 2018
Paving the Way for Flexible Solar Cells

At the EU PVSEC conference, imec presented a thin-film tandem solar cell consisting of a top perovskite cell developed by imec within the partnerships of EnergyVille and Solliance, and a bottom CIGS cell from the Centre for Solar Energy and Hydrogen Research. The tandem cell resulting from this collaboration achieves a record efficiency of 24.6 percent.

Medical
1st November 2018
High-density neural probe released to neuroscience community

The research and innovation hub in nanoelectronics and digital technologies, imec, has released and is making available its high-density neural probe, Neuropixels, to the global neuroscience research community. With almost a thousand electrodes, and 384 recording channels on a single shank, the Neuropixels probe provides an unprecedented resolution for mapping brain activity.

Analysis
22nd October 2018
Collaboration will advance current EUV lithography

Research and innovation hub in nanoelectronics and digital technologies, imec, and ASML Holding, lithographic equipment provider, have announced the next step in their extensive collaboration. Together, they will accelerate the adoption of EUV lithography for high-volume production, including the current latest available equipment for EUV (0.33 Numerical Aperture, NA).

Analysis
28th September 2018
Digital twin of the city of Antwerp

At SuperNova in Antwerp, imec, research and innovation hub in the field of nanoelectronics and digital technology, and the Dutch innovation organisation TNO are launching a 'digital twin' of the city of Antwerp. This digital 3D replica of the city combines noise pollution data with real-time sensor information from air quality and traffic, and computer models. 

Renewables
25th September 2018
Large-area nPERT solar cells surpass 23% efficiency

At the EU PVSEC conference, imec, research and innovation hub in nanoelectronics, energy and digital technology and partner in EnergyVille, announced that its latest generation of large-area monofacial screen-printed rear-emitter nPERT cells feature a conversion efficiency of 23.03%, certified by Fraunhofer ISE CalLab. 

Analysis
13th September 2018
€117m raised to invest in innovative early stage ideas

  Independent early-stage and growth fund, imec.xpand, initiated by imec, has announced the closing of its first fund at €117m in committed capital. The amount raised exceeds the initial target of €100m and positions imec.xpand as a major player in the deep tech venture capital ecosystem.

Micros
13th August 2018
Extending interconnects beyond the 3nm technology node

At the annual Imec Technology Forum USA, in San Francisco, imec, the research and innovation hub in nanoelectronics and digital technology, reported on the potential of using ruthenium (Ru) as a disruptive interconnect material for three nanometres and beyond technology nodes.

Test & Measurement
30th July 2018
ERC starting grant awarded to develop ultra small microscopes

It has been announced by Imec, the research and innovation hub, that Niels Verellen, one of its young scientists, has been awarded an ERC Starting Grant. The grant of €1.5m (for five years) will be used to enable high resolution, fast, robust, zero-maintenance, inexpensive and ultra compact microscopy technology based on on-chip photonics and CMOS image sensors. 

Renewables
24th July 2018
Imec beats silicon PV with 27.1% perovskite-silicon tandem

Imec has announced a record result for its 4-terminal Perovskite/silicon tandem photovoltaic cell. With a power conversion efficiency of 27.1%, the imec tandem cell beats the most efficient standalone silicon solar cell. Further careful engineering of the Perovskite material will bring efficiencies over 30% in reach. Perovskite microcrystals are a promising material system to make high-performance thin-film solar cells.

Memory
19th June 2018
Manufacturability of spin-orbit torque MRAM devices demonstrated at Symposia

At the 2018 Symposia on VLSI Technology and Circuits, Imec demonstrated the possibility to fabricate spin-orbit torque MRAM (SOT-MRAM) devices on 300mm wafers using CMOS compatible processes. 

Power
11th June 2018
Compact low power CMOS radar features on-chip antennas

At the International Microwave Symposium in Philadelphia, US, Imec announced the first CMOS 140GHz radar-on-chip system with integrated antennas in standard 28nm technology. The achievement is an important step in the development of radar-based sensors for a myriad of smart intuitive applications, such as building security, remote health monitoring of car drivers, breathing and heart rate of patients, and gesture recognition for man-machine ...

First Previous Page 4 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier